用vhdl语言实现寄存器

用vhdl语言实现寄存器libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;useIEEE.STD_LOGIC_UNSIGNED.ALL; Uncommentthefollowinglinestousethedeclarationsthatare providedforins

大家好,欢迎来到IT知识分享网。用vhdl语言实现寄存器

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

—  Uncomment the following lines to use the declarations that are
—  provided for instantiating Xilinx primitive components.
–library UNISIM;
–use UNISIM.VComponents.all;

entity exprience1 is
    Port ( cs : in std_logic;
           wr : in std_logic;
           rd : in std_logic;
           data : inout std_logic_vector(3 downto 0)
             –signal tmp: inout std_logic_vector(3 downto 0));
            );
end exprience1;

architecture Behavioral of exprience1 is
signal tmp: std_logic_vector(3 downto 0);
begin
reg:process(cs, wr, rd)

begin
    if(cs = ‘1’ and wr = ‘1’) then
        tmp <= data;
    elsif(cs = ‘1’ and rd = ‘1’) then
        data <= tmp;
     else data <= “ZZZZ”;
    end if;

end process reg;
end Behavioral;

免责声明:本站所有文章内容,图片,视频等均是来源于用户投稿和互联网及文摘转载整编而成,不代表本站观点,不承担相关法律责任。其著作权各归其原作者或其出版社所有。如发现本站有涉嫌抄袭侵权/违法违规的内容,侵犯到您的权益,请在线联系站长,一经查实,本站将立刻删除。 本文来自网络,若有侵权,请联系删除,如若转载,请注明出处:https://yundeesoft.com/12448.html

(0)

相关推荐

发表回复

您的电子邮箱地址不会被公开。 必填项已用 * 标注

关注微信