基于VHDL语言的全加器的设计

基于VHDL语言的全加器的设计基于VHDL语言的全加器的设计全加器可以由两个半加器和一个或门连接而成,这样得到的半加器电路称为顶层文件。设计原理图如下:下面全加器的设计采用层次结构的VHDL程序设计方法,采用元件例化语句。工程文件名与顶层文件(全加器)文件名一样;把全加器、半加器、或门的vhdl文件都要包含到工程中;在全加器文件中声明半加器、或门为元件;然后例化三个元件:两个半加器和一个或门。软件说明:Mo…

大家好,欢迎来到IT知识分享网。

基于VHDL语言的全加器的设计

全加器可以由两个半加器和一个或门连接而成,这样得到的半加器电路称为顶层文件。
设计原理图如下:
在这里插入图片描述
下面全加器的设计采用层次结构的VHDL程序设计方法,采用元件例化语句。

  1. 工程文件名与顶层文件(全加器)文件名一样;
  2. 把全加器、半加器、或门的vhdl文件都要包含到工程中;
  3. 在全加器文件中声明半加器、或门为元件;
  4. 然后例化三个元件:两个半加器和一个或门。
  5. 软件说明:ModelSimSetup-13.1.0.162,QuartusSetup-13.1.0.162。

建立工程:

第一步:打开Quartus软件。

第二步:点击New Project Wizard -> next.

第三步:选择工程文件的存放位置,输入工程名 -> next -> next。

第四步:在family栏选择芯片型号-Cyclone IV E,在Name栏选择EP4CE115F29C7,选择完之后点击next。(如果不进行硬件调试时,此处默认即可)

第五步:检查工程有没有建错,点击完成。如下图:
在这里插入图片描述

程序设计:

全加器顶层文件设计:

   --文件名:adder.vhd 应与工程名保持一致:
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    entity adder is	
        port(ain,bin,cin:in std_logic;
    	 cout,sum:out std_logic);
    end adder;
    architecture fd1 of adder is
    component h_adder
    	--半加器原件声明
    	port(a,b:in std_logic;
    	co,so:out std_logic);
    end component;
    component or2a
    	--声明或门原件
    	port(a,b:in std_logic;
    	c:out std_logic);
    end component;
    signal d,e,f:std_logic;
    begin
    	u1:h_adder port map(a=>ain,b=>bin,co=>d,so=>e);--半加器原件例化
    	u2:h_adder port map(a=>e,b=>cin,co=>f,so=>sum);--半加器原件例化
    	u3:or2a port map(a=>d,b=>f,c=>cout);--半或门原件例化
    end fd1;

对半加器原件进行实例化:

   --文件名:h_adder.vhd
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    entity h_adder is
       port(a,b:in std_logic;
    		co,so:out std_logic);
    end h_adder;
    architecture fh1 of h_adder is
    begin
    	so<=NOT(a XOR (NOT b));
    	co<= a AND b;
    end fh1;

对或门原件进行实例化:

  --文件名:or2a.vhd
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    
    entity or2a is
    	port(a,b:in std_logic;
       c:out std_logic);
    end or2a;
    architecture one of or2a is
    begin
    	c<=a OR b;
    end one;

文件仿真(这里采用modelsim仿真波形):

  1. 选择File-> New -> Verification/Debugging Files ->University Program VWF。
    在这里插入图片描述
    2.打开测试文件。(右键点击添加端口,对输入信号初始化,赋值。)

在这里插入图片描述
3.仿真结果:
在这里插入图片描述

逻辑电路图:

显示编译成功后,选择菜单栏 Tools –>Netlist Viewers –>RTL Viewer 显示逻辑电路图
在这里插入图片描述
如果需要通过令一种方法:原理图法进行全加器设计请参看—基于原理图法的全加器设计

免责声明:本站所有文章内容,图片,视频等均是来源于用户投稿和互联网及文摘转载整编而成,不代表本站观点,不承担相关法律责任。其著作权各归其原作者或其出版社所有。如发现本站有涉嫌抄袭侵权/违法违规的内容,侵犯到您的权益,请在线联系站长,一经查实,本站将立刻删除。 本文来自网络,若有侵权,请联系删除,如若转载,请注明出处:https://yundeesoft.com/15516.html

(0)

相关推荐

发表回复

您的电子邮箱地址不会被公开。 必填项已用 * 标注

关注微信