vcs+verdi/Debussy

vcs+verdi/Debussy1、修改filelist2、控制台进如sim目录,设置环境变量./etc/profile3、makeauto

大家好,欢迎来到IT知识分享网。

1、修改filelist

2、控制台进如sim目录,设置环境变量 . /etc/profile

3、make auto

4、exit

5、make verdi

6、make wave

vcs+verdi/Debussy

vcs+verdi/Debussy

vcs+verdi/Debussy

vcs+verdi/Debussy

vcs+verdi/Debussy

参考:

https://www.cnblogs.com/east1203/p/11568460.html

vcs+verdi/Debussy

vcs+verdi/Debussy

vcs+verdi/Debussy

//————————————————————–

https://blog.csdn.net/mochenbaobei/article/details/88139643

Debussy 直接编译 Verilog 或 VHDL 源代码,采用预综合技术来识别电路元件,在这个基础上可以生成原理图。

由于自身不含仿真器,必须通过外部仿真器(如 ModelSim)来产生 fsdb 文件,其显示波形的单“nWave”通过读取 fsdb文件,才能显示波形的变化。

Debussy 支持的波形格式是 fsdb,是 Fast Signal Database 的简写。

Debussy也可以读取 vcd 文件,在它读入 vcd 文件时,先自动把 vcd 文件转换成 fsdb 文件,然后再读入 debussy。

Debussy 提供的新的波形文件格式 fsdb 相比 vcd 格式,不仅压缩量大,而且加载速度快。

当用 nWave 导入 vcd 文件时,自动调用 vfast 转换成 fsdb 文件。

Debussy 是支持 Windows 环境的,它的新版本改名为 Verdi,增加了一些功能,但是新版本的 Verdi 只能支持 Linux 环境。

Debussy 有四个主要单元(component),nTrace、nWave、nSchema、nState

vcs+verdi/Debussy

//————————————————————————–

https://zhuanlan.zhihu.com/p/38623543

编译生成仿真库/synopsys_sim.setup

compile、elaborate和simulation

//———————————————————

https://www.cnblogs.com/loves6036/p/5554084.html

vcs+verdi/Debussy

vcs+verdi/Debussy

vcs+verdi/Debussy

免责声明:本站所有文章内容,图片,视频等均是来源于用户投稿和互联网及文摘转载整编而成,不代表本站观点,不承担相关法律责任。其著作权各归其原作者或其出版社所有。如发现本站有涉嫌抄袭侵权/违法违规的内容,侵犯到您的权益,请在线联系站长,一经查实,本站将立刻删除。 本文来自网络,若有侵权,请联系删除,如若转载,请注明出处:https://yundeesoft.com/15694.html

(0)

相关推荐

发表回复

您的电子邮箱地址不会被公开。 必填项已用 * 标注

关注微信