多路选择器(multiplexer)简介

多路选择器(multiplexer)简介1、多路器简介简称:多路器功能:多输入单输出组合逻辑电路2、verilog代码实现:moduleMux_8(addr,in1,in2,in3,in4,in5,in6,in7,in8,Mout,nCS);input[2:0]addr;input[width-1:0]in1,in2,in3,.

大家好,欢迎来到IT知识分享网。多路选择器(multiplexer)简介

1、多路器简介

简称:多路器

功能:多输入  单输出   组合逻辑电路

2、verilog代码实现:

module Mux_8(addr,in1,in2,in3,in4,in5,in6,in7,in8,Mout,nCS);

input[2:0] addr;

input[width-1:0] in1,in2,in3,in4,in5,in6,in7,in8;

input nCS;

output[width-1:0] Mout;

reg[width-1:0] Mout;

parameter width=8;

 

always@(addr or in1 or in2 or in3 or in4 or in5 or in6 or in7 or in8 or nCS)

  begin

    if(!CS)

      case(addr)

      3’b000: Mout=in1;

      3’b001: Mout=in2;

      3’b010: Mout=in3;

      3’b100: Mout=in4;

      3’b101: Mout=in5;

      3’b110: Mout=in6;

      3’b111: Mout=in7;

      endcase

    else

      Mout=0;

  end

endmodule

 *************************************************************

 

made by qidaiYMM, your comment is appreciated.

email:1519882610@qq.com

 

免责声明:本站所有文章内容,图片,视频等均是来源于用户投稿和互联网及文摘转载整编而成,不代表本站观点,不承担相关法律责任。其著作权各归其原作者或其出版社所有。如发现本站有涉嫌抄袭侵权/违法违规的内容,侵犯到您的权益,请在线联系站长,一经查实,本站将立刻删除。 本文来自网络,若有侵权,请联系删除,如若转载,请注明出处:https://yundeesoft.com/33762.html

(0)

相关推荐

发表回复

您的电子邮箱地址不会被公开。 必填项已用 * 标注

关注微信