基于FPGA的数字电路实验8:PWM脉宽调制

基于FPGA的数字电路实验8:PWM脉宽调制今天终于要说到脉冲宽度调制技术了。听到这一串由汉字组成的X%#@&*思密达,老司机不屑一顾扬长而去,新司机不明觉厉直接吓走,于是就剩下我们这百来号低头看手机的瓜友在这里逛荡了。我们还是先从脉冲宽度调制的基础说起。

大家好,欢迎来到IT知识分享网。

今天终于要说到脉冲宽度调制技术了。听到这一串由汉字组成的X%#@&*思密达,老司机不屑一顾扬长而去,新司机不明觉厉直接吓走,于是就剩下我们这百来号低头看手机的瓜友在这里逛荡了。

我们还是先从脉冲宽度调制的基础说起。脉冲宽度调制,英文缩写为:PWM(Pulse Width Modulation),是通过数字信号实现对模拟电路控制的一种非常有效的技术,常被广泛应用于测量、通信、功率控制与变换等众多领域。

那么PWM是如何工作的?

我们知道,数字电路只能产生高电平(1)或低电平(0),在小脚丫上也就意味着3.3V和0V。那么如果我们的应用恰好在这之间怎么办?比如,将3.3V直接连到LED上会导致LED灯很亮。如何将LED灯调暗呢?当然,最简单的办法就是直接串联一个限流电阻但这样一来,限流电阻就需要不断产生功耗,而这个功耗实际上是完全浪费掉的。

无非就是调节LED的亮度而已,难道就没有其他更好的办法了吗?当然有,用我们今天学习的PWM就可以轻松实现。在进一步探讨点亮LED之前,我们先通过图1了解一些基本的参数:

基于FPGA的数字电路实验8:PWM脉宽调制

图1

图1中,脉冲信号的周期为T,高电平宽度为t。如果我们将t/T定义为占空比,占空比就是2/3,因为高电平的宽度占了整个周期的2/3。在图1中我们还可以看到一条红色虚线,画在了脉冲高度2/3的位置。这条虚线实际上就对应着最终的有效值。那么如何在FPGA上生成PWM信号呢?

我们还是习惯看图说话,请看图2。假如我们有一个锯齿波,然后在锯齿波上设置一个阈值(黑色水平虚线),凡是大于该阈值时输出均为高电平,反之则为低电平,这样我们是不是就得到一个PWM信号呢?如果我们想调整它的占空比,那么调节阈值的高低就可以了。在本例中,阈值线越低占空比越高。

基于FPGA的数字电路实验8:PWM脉宽调制

图2

如果把上面的描述再抽象化一下,就可以画出图3的模块框图。锯齿波实际上就可以用计数器生成,阈值就是一个数值而已,比较器是用来生成最后输出高低电平用的。

基于FPGA的数字电路实验8:PWM脉宽调制

图3

有了设计思路之后,我们来看一下最终代码。

module pwm (PWM_out, clk, reset);

input clk, reset;

output reg PWM_out;

wire [7:0] counter_out; //计数器的8位宽储存,可以最多数128次时钟的嘀嗒

parameter PWM_ontime = 32; //阈值设在32,对应25%的占空比

always @ (posedge clk) begin //比较器

if (PWM_ontime > counter_out)

PWM_out <= 0;

else

PWM_out <= 1;

end

counter counter_inst( //调用计数器

.clk (clk),

.counter_out (counter_out),

.reset(reset)

);

endmodule

module counter(counter_out,clk,reset); //计数器模块代码

output [7:0] counter_out;

input clk, reset;

reg [7:0] counter_out;

always @(posedge clk)

if (reset) //如果没有按reset,则计数器清零

counter_out <= 8’b0;

else //如果按下reset,则计数器开始计数

counter_out <= counter_out + 1;

endmodule

在代码中,我们设置的计数器位宽是8位,也就是每128次后自动重新计数。所以,该计数器的最大频率也就是12MHz/128=93.75KHz。图3中可以看出,PWM信号的频率和计数器的频率相同,因此也是93.78KHz。

试想一下,LED现在正以超过每秒9万次的速度闪烁,肉眼是完全分辨不出来的。那么闪烁过程中,亮/灭的比值越大,LED的视觉发光效果就越强,反之则越弱。我们最后将上述程序导入小脚丫中,并通过调节阈值来观察小脚丫上的LED发光强度的变化。

现在思考题来了:

1. 已知小脚丫的板载LED的正向点亮电压为1.8V

2. 已知小脚丫的高电平为3.3V,低电平为0V

3. 现在我们将一个占空比为10%的PWM信号接到LED上,能不能看到LED点亮?

答案:能

回答错误的你一定非常不服,于是从积灰的古董箱里翻出来宝刀已老的万用表,调成直流电压测量模式后一测发现:没错啊!就是0.33V,和预期的3.3*10%=0.33V一样!那怎么可能还会点亮,一定是LED坏了,哦不,是万用表坏了,好像也不是…难道是小脚丫坏了?

NO,NO,NO,请不要告诉我小脚丫坏了。

免责声明:本站所有文章内容,图片,视频等均是来源于用户投稿和互联网及文摘转载整编而成,不代表本站观点,不承担相关法律责任。其著作权各归其原作者或其出版社所有。如发现本站有涉嫌抄袭侵权/违法违规的内容,侵犯到您的权益,请在线联系站长,一经查实,本站将立刻删除。 本文来自网络,若有侵权,请联系删除,如若转载,请注明出处:https://yundeesoft.com/85226.html

(0)

相关推荐

发表回复

您的电子邮箱地址不会被公开。 必填项已用 * 标注

关注微信